Sensitivity List

LRM §9.2.

A list of signals that triggers a process to resume.

Syntax:

( signal_name, ... ) 

Description:

The sensitivity list is equivalent to the wait on statement. An event on one (or more) of the signals listed in the sensitivity list will make the process to resume. When a process has a sensitivity list, then that process will always stop executing at the end of the sequential statements, and wait on an event on one (or more) of the signals listed in the sensitivity list.

Only static signal names, for which reading is permitted, may appear in the sensitivity list of a process.

Example:

Dff : process (Clk, Reset) 
begin 
  ...
end process;

Notes:

See also:

Process, Wait