Back | Index | receiver |
Contents | Side Data | Generated HDL |
1 -------------------------------------------------------------------------------- 2 -- Object : Entity receiver.Toplevel 3 -- Last modified : Tue Apr 13 15:27:50 2021 4 -------------------------------------------------------------------------------- 5 6 library ieee; 7 use ieee.std_logic_1164.all; 8 use ieee.std_logic_unsigned.all; 9 use ieee.std_logic_arith.all; 10 11 entity Toplevel is 12 end entity Toplevel; 13 14