Documentation for entity uart.transmit
1
2
3
4
5
6 library ieee;
7 use ieee.std_logic_1164.all;
8 use ieee.std_logic_unsigned.all;
9 use ieee.std_logic_arith.all;
10
11 entity transmit is
12 generic(
13 dwidth : natural := 8);
14 port (
15 d : in std_logic_vector(dwidth-1 downto 0);
16 ld : in std_logic;
17 neg_txclk : in std_logic;
18 nr_dbits : in std_logic_vector(1 downto 0);
19 parity_en : in std_logic;
20 resetn : in std_logic;
21 sclk : in std_logic;
22 stop_2bit : in std_logic;
23 tx : out std_logic;
24 txrdy : out std_logic);
25 end entity transmit;
26
27